#format wiki #acl Default All:read {{attachment:title.png|XMODEL|width=100%}} XMODEL is an extension to a !SystemVerilog simulator enabling fast and accurate modeling and simulation of analog/mixed-signal systems such as high-speed I/Os, RF transceivers, DC-DC converters, data converters, etc. XMODEL provides a single simulation platform supporting all the design phases including architecture exploration, functional modeling, circuit simulation, and even physical synthesis (place & route). With XMODEL, designers can verify complex mixed-signal systems with 100~1000x speed over Verilog-AMS or SPICE without having to re-write the testbenches as the design is translated from one abstraction to another. = XMODEL Basic Concepts = XMODEL expresses continuous-time analog signals in an analytical form, which also enables efficient, event-driven computation of the system response whose accuracy does not need to be compromised for speed. {{attachment:signal.png|XMODEL Basic Concepts|width=100%}} = XMODEL Application Examples = XMODEL is ideal for state-of-the-art mixed-signal systems that include large amount of digital logic for feedback control, calibration, and reconfiguration. Most of those systems are impractical with SPICE. {{attachment:apps.png|XMODEL Applications|width=100%}} = Statistical Simulation Capability = XMODEL is capable of efficient statistical simulation of jitter histogram, BER bathtub curve, and jitter tolerance (JTOL) curve of high-speed I/O interfaces with unprecedented simulation speed and versatility. {{attachment:stat.png|Statistical Simulation Capability|width=100%}} = XMODEL in Publications = * Ji-Eun Jang, Si-Jung Yang, Jaeha Kim, "Event-Driven Simulation of Volterra Series Models in !SystemVerilog," IEEE Custom Integrated Circuits Conference (CICC), Sept. 2013 ([[http://dx.doi.org/10.1109/CICC.2013.6658460|link]]). * Si-Jung Yang, Ji-Eun Jang, and Jaeha Kim, "Event-Driven Simulation of Nonlinear Voltage-Controlled Oscillators in !SystemVerilog," International Technical Conference on Circuits/Systems, Computers and Communications (ITC-CSCC), June 2013. * Ji-Eun Jang, Myeong-Jae Park and Jaeha Kim, "An Event-Driven Simulation Methodology for Integrated Switching Power Supplies in !SystemVerilog," ACM/IEEE Design Automation Conference, June 2013 ([[http://dx.doi.org/10.1145/2463209.2488903|link]]). * Ji-Eun Jang, Myeong-Jae Park, Dongyun Lee and Jaeha Kim, "True Event-Driven Simulation of Analog/Mixed-Signal Behaviors in !SystemVerilog: A Decision-Feedback Equalizing (DFE) Receiver Example," IEEE Custom Integrated Circuits Conference, Sept. 2012 ([[http://dx.doi.org/10.1109/CICC.2012.6330558|link]]). * Jaeha Kim, Sigang Ryu, Byoungjoo Yoo, Hanseok Kim, Yunju Choi, and Deog-Kyoon Jeong, "A Model-First Design and Verification Flow for Analog-Digital Convergence Systems: A High-Speed Receiver Example in Digital TVs," IEEE International Symposium on Circuits and Systems, May 2012 ([[http://dx.doi.org/10.1109/ISCAS.2012.6272147|link]]). * Myeong-Jae Park, Hanseok Kim, Minbok Lee, Jaeha Kim, "Fast and Accurate Event-Driven Simulation of Mixed-Signal Systems with Data Supplementation," IEEE Custom Integrated Circuits Conference, Sept. 2011 ([[http://dx.doi.org/10.1109/CICC.2011.6055330|link]]). = Contact Information = XMODEL is now available for commercial or academic licensing through Scientific Analog, Inc. For more information, visit http://www.scianalog.com or contact info@scianalog.com.